// معرفی زبان های توصیف سخت افزار HDL - الکترونیکا

الکترونیکا پروژه های الکترونیک و مکانیک

سایت در حال به روز رسانی به نسخه ی جدید میباشد در صورت بروز مشکل با شماره ی 09213203841 تماس بگیرید

معرفی زبان های توصیف سخت افزار HDL

این خودآموز به زبان فارسی نگاشته شده و برای آشنایی مقدماتی با نحو زبان Verilog و توانایی‌های آن مفید است، مخاطب این خودآموز آن دسته از علاقه‌مندانی می‌باشند كه با زبان Verilog‌ آشنایی ندارند.زبان‌های توصیف سخت‌افزار یكی از مهمترین ابزار‌های مدرن طراحی سخت‌افزار‌ها می‌باشد كه كاربرد آنها در صنعت رو به افزایش ‌است به طوری كه امروزه عضو جدا نشدنی فرایند طراحی تا پیاده سازی سخت‌افزار‌های مدرن به شمار می‌روند.

با توجه به اینكه زبان‌های توصیف سخت‌افزار یكی از مهمترین ابزار‌های توسعه جنبش سخت‌افزار آزاد به شمار می‌روند، در این مقاله به طور مفصل آنها را معرفی و مقایسه كرده‌ایم، در پایان نیز تعدادی خودآموز و مرجع قابل دانلود جهت فراگیری این زبان‌ها در اختیار بازدیدكنندگان قرار گرفته است.

فهرست بخش های مقاله :

  • تكامل طراحی دیجیتال به كمك كامپیوتر
  • زبان توصیف سخت‌افزار چیست ؟
  • زبان توصیف سخت‌افزار VHDL
  • زبان توصیف سخت‌افزار Verilog
  • Verilog‌در برابر VHDL
  • انتخاب یك زبان توصیف‌ سخت‌افزار

تكامل طراحی دیجیتال به كمك كامپیوتر :

طراحی مدارات دیجیتال از 25 سال گذشته تا كنون بسیار متحول گشته است، مدارهای دیجیتال ابتدایی كه به سختی‌ میتوان نام سخت‌افزار را بر روی آنها گذاشت، با لامپ‌های خلا و تعداد اندكی ترانزیستور ساخته می‌شدند.با ظهور تكنولوژی مدار مجتمع، امكان پیاده‌سازی مدارات دیجیتال بر روی یك تراشه فراهم گردید. اولین نسل مدارات‌مجتع یا IC ها، SSI سر نام Small Scale Integration نام دارد كه در این نسل تراشه‌ها با تعداد بسیار اندكی ترانزیستور و گیت‌های منطقی ساخته می‌شدند، پس از آن حجم مجتمع سازی در نسل‌هایMSI سر نام Medium Scale Integration و LSI سر نام Large Scale Integration به سرعت گسترش یافت و به طوری كه امكان مجتمع سازی هزاران گیت منطقی، در داخل یك تراشه برای طراحان سخت‌افزار فراهم آمده بود. در این مرحله روند طراحی بسیار پیچیده و دشوار گردیده بود و طراحان نیاز داشتند تا بخشی از مراحل طراحی را به كامپیوتر بسپارند. به كمك ابزارهای طراحی دیجتال كامپیوتری یا CAD Toolها طراحان توانستند كامپیوتر را در فرایند طراحی اجزای خود سهیم سازند.

نمایی از یك طراحی بسیار پیچیده

با پیشرفت نسل VLSI سر نام Very Large Scale Integration امكان طراحی مدارات مجتمع با میلیون‌ها ترانزیستور فراهم گشته است(مانند تصویر بالا). به دلیل پیچیدگی بسیار زیاد این مدارات ،طراحی و ارزیابی عملكرد آنها به روش‌های سنتی دیگر میسر نیست، به همین خاطر ایده‌ای جهت توصیف طرح به یك زبان قابل فهم برای كامپیوتر مطرح گردید تا زمینه حضور كامپیوتر در پروسه طراحی یك مدار VLSI گسترده‌تر گشته و مسائل طراحی و ارزیابی در پروسه طراحی یك سخت‌افزار جدید، با الگوریتم‌های از پیش تعریف شده، پردازش شوند.

زبان توصیف سخت‌افزار چیست ؟

HDL سر نام Hardware Description Language ، به خانواده‌ای از زبان‌های برنامه‌نویسی گفته می‌شود كه جهت مدل‌سازی عملكرد بخشی از یك سخت‌افزار به كار گرفته می‌شوند. توصیف سخت‌افزار به كمكHDLها به دو شكل كلی امكان پذیر است:

روش اول: مدل‌سازی ساختاری سخت‌افزار، كه با تشرح ارتباطات خارجی، اجزای تشكیل دهنده داخلی و اتصالات میان‌ها، جهت توصیف ساختار و اجزای تشكیل دهنده یك سخت‌افزار به كار برده می‌شود.
روش دوم: مدل‌سازی رفتاری سخت‌افزار، جهت توصیف انتزاعی رفتار یك سخت‌افزار بدون در نظر گرفتن جزئیات ساختار آن می‌باشد كه بدون لحاظ كردن اجزای تشكیل دهنده، به توصیف خروجی‌های مدل بر اساس تابعی از ورودی‌های آن می‌پردازد. مدل‌سازی رفتاری یك سخت‌افزار در سطوح مختلفی از انتزاع صورت می‌پذیرد كه سطوح بالاتر رفتار سخت‌افزار را به صورت چكیده‌تر با جزئیات كمتری نسبت به سطوح پایین تر انتزاع، توصیف می‌كنند.
طراحی یك سخت‌افزار بر اساس طرح شماتیك مداری كه در گذشته از آن به وفور استفاده می‌شد، با اینكه شامل اطلاعات بسیار كاملی در مورد سخت‌افزار و اجزای تشكیل دهنده آن بود، اما در توصیف طرح‌های بزرگ به خاطر حجم بسیار زیاد اجزای تشكیل دهنده طرح بسیار پیچیده گشته و حجم مستندات آن خارج از كنترل می‌گشت. همچنین در روش سنتی طراحی از ایده‌های مطرح شده در طرح هیچ گونه حفاظتی به عمل‌ نمی‌آمد و امكان سواستفاده از آنها توسط اشخاصی كه طرح به آنها فروخته می‌شود، وجود داشت.

نمایی از یك سخت‌افزار مدرن، طراحی شده به كمك زبان‌های توصیف سخت‌افزار

در طراحی یك سخت‌افزار با توصیف متنی‌ آن به كمك یك زبان برنامه‌نویسی، هم حجم مستندات طرح كاهش یافته و هم امكان حفاظت ایده‌های طرح به وجود آمده است. علاوه بر این توصیف متنی یك سخت‌افزار به كمك HDLها برای كامپیوتر قابل فهم‌تر از طرح شماتیكی آن سخت‌افزار با اطلاعات تصویری می‌باشد. مهمترین وجه تمایز یك زبان توصیف‌ سخت‌افزار با یك زبان برنامه‌نویسی متداول مانند C ، در نحوه اجرای خط‌كد‌ها می‌باشد، در زبان‌های برنامه نویسی متداول مانند C خط كد‌های نوشته شده به ترتیب از بالا به پایین اجرا شده تا در نهایت به اتمام برسند، در حالی كه در زبان‌های توصیف سخت‌افزار اجرای دستورات ماهیت ترتیبی و سریال نداشته و تمام خط‌كدها به صورت موازی و همزمان اجرا می‌شوند، در واقع برای توصیف سخت‌افزاری كه ممكن است از چندین بخش‌ ساخته شده باشد كه خروجی آنها به صورت همزمان تغییر می‌كند، زبان‌های برنامه‌نویسی متداول با ماهیت اجرای ترتیبی خود كاربردی ندارند.

شبیه سازی سخت‌افزار به كمك HDLها

به كمك زبان‌های توصیف سخت‌افزار علاوه بر طراحی می‌توانیم یك سخت‌افزار را شبیه سازی كرده تا قابلیت‌ها و عملكرد‌ آن را قبل از پیاده‌سازی آزمایش كنیم. در دنیای واقعی تست كردن یك سخت‌افزار، خود نیازمند یك سخت‌افزار دیگر است كه مجموعه‌ای از ورودی‌های مجاز را برای سخت‌افزار زیر تست تولید كرده و خروجی حاصله را با مقدار مورد انتظار مقایسه كند. در فضای مجازی كه كامپیوتر در اختیار ما قرار داده است نیز می‌توانیم قبل از پیاده سازی و ساختن سخت‌افزار، عملكرد آنرا با طراحی یك سخت‌افزار جانبی جهت تست، سخت‌افزار اصلی را ارزیابی كنیم، به این ترتیب كه به كمك زبان توصیف سخت‌افزار، برنامه‌ای نوشته می‌شود تا زنجیره‌ای از ورودی‌ها را به سخت‌افزار مورد آزمایش داده و خروجی آن را با مقادیر مورد انتظار طراح مقایسه نماید، به این برنامه كه جهت آزمایش عملكرد سخت‌افزار نوشته می‌شود،Testbench گفته می‌شود. زبان‌های توصیف‌سخت‌افزار Verilog و VHDL در میان سایر HDL‌ها محبوبیت بیشتری پیدا كرده‌اند كه در ادامه مقاله به بررسی و مقایسه‌آنها خواهیم پرداخت.

زبان توصیف سخت‌افزار VHDL :

در سال 1983 دوشركت بزرگ IBM و Texas Instrument‌ به همراه شركت Intermetrics قراردادی را جهت توسعه این زبان برای كاربرد‌های طراحی مدارات دیجیتال امضا كردند و چهار سال بعد این زبان به توسط IEEE استاندارد سازی شد پس از آن وزارت دفاع آمریكا شركت‌های تابع خود را مقید ساخت تا تمامی مدارات دیجیتال خود را به این زبان توصیف كنند. جگنده F-22 یكی از اولین پروژه‌هایی بود كه تمامی مدارات و مستندات الكترونیكی آن به زبان VHDLنگاشته شده بود، موفقیت این پروژه موجب شد تا زبان VHDL بیش از پیش توسعه یافته و مورد استفاده قرار گیرد. در سال 1993 استاندارد IEEE-1076 ویرایش شد و در آن تمهیداتی جهت پوشش دادن به سیگنال‌هایی كه در آن واحد چند مقدار به آنها داده می‌شود، اندیشیده شد. در سال 1996 ابزار‌های شبیه سازی و سنتز مدارات دیجیتال توصیف شده توسط VHDL به صورت تجاری عرضه شدند تا مراحل طراحی تا پیاده‌سازی كامپیوتری یك سخت‌افزار به كمك VHDL تكمیل شود. در سال 2006 كمیته فنی VHDL مستقر در كنسرسیوم Accellera كه توسط IEEE جهت به روز‌رسانی استاندارد VHDL ایجاد شده‌ است، نسخه سوم از پیش نویس استاندارد VHDL-2006 را ارائه كرد.

یك نمونه از برنامه VHDL كه به توصیف یك گیت AND ساده بدون ملاحظات زمانی پرداخته است در زیر آورده شده است :

-- import std_logic from the IEEE library
library IEEE;
use IEEE.std_logic_1164.all;

-- this is the entity
entity name_of_entity is
port (
IN1 : in std_logic;
IN2 : in std_logic;
OUT1: out std_logic);
end entity name_of_entity;
-- here comes the architecture
architecture name_of_architecture of name_of_entity is
-- Internal signals and components would be defined here
begin
OUT1 <= IN1 and IN2;
end architecture name_of_architecture;


زبان توصیف سخت‌افزار Verilog :

زبان توصیف سخت‌افزاری Verilog‌ سرنام Verifying Logic جهت مدل‌سازی سیستم‌های الكترونیكی ابداع شده است كه كلیه مراحل طراحی، ارزیابی و پیاده سازی یك مدار آنالوگ یا دیجیتال یا یك مدار تركیبی را در چند سطح انتزاع پوشش می‌دهد.Syntax این زبان بسیار مشابه به زبان C می‌باشد و در توسعه آن از ویژگی‌های زبان C الگو گرفته شده است. این زبان در سال 1981 توسط Phil Moorby در شركت Gateway Design Automation ابداع شد و در سال 1985 نرم‌افزار شبیه‌ساز این زبان به نام Verilog-XL عرضه شد در سال 1989 این شركت به همراه حقوق معنوی این زبان توسط شركت ‍Cadance خریداری و سپس مستندات آنرا برای استفاده عمومی، به صورت رایگان در اختیار مردم قرار گرفت.در سال 1993 این زبان توسط IEEE بازنگری و استانداردسازی شد در همین سال بر اساس آمار EETimes حدود 85 درصد از طراحی‌های مدارات مجتمعی كه به كارخانه‌های تولید‌كننده ادوات نیمه‌هادی سفارش داده شدند، به زبان Verilog توصیف شده بودند.یك نمونه از برنامه Verilog كه به توصیف یك فلیپ‌فلاپ پرداخته است در زیر آورده شده است :

module toplevel(clock,reset);
input clock;
input reset;

reg flop1;
reg flop2;
always @ (posedge reset or posedge clock)
if (reset)
begin
flop1 <= 0;
flop2 <= 1;
end
else
begin
flop1 <= flop2;
flop2 <= flop1;
end
endmodule


از جمله امكانات زبان Verilog محیط PLI آن سر نام Program Language Interface می‌باشد كه به كمك آن می‌توان كنترل برنامه را از زبان Verilog بر عهده تابعی كه به زبان C نوشته شده است قرار داد، این قابلیت موجب شده تا زبان Verilog انعطاف پذیر گشته و توسعه برنامه در آن توسط زبان C نیز امكان پذیر باشد.

Verilog‌در برابر VHDL :

توصیف ساختاری یك سخت‌افزار با زبان Verilog هیچ گونه برتری یا كاستی نسبت به توصیف آن با زبانVHDL ندارد و تفاوت میان این دو زبان بیشتر در توصیف‌های رفتاری یك سخت‌افزار خود را نشان می‌دهند. شكل زیر نشان‌دهنده میزان مقیاس پذیری این دو زبان در سطوح مختلف انتزاع در توصیف رفتاری می‌باشد:

Verilog‌در برابر VHDL

همانطور كه مشاهده می‌كنید، زبان Verilog توانایی مدل‌سازی سخت‌افزار تا پایین‌ترین سطح انتزاع یعنی سطح ترانزیستور و سوییچ را دارا می‌باشد در حالی كه زبان VHDL توانایی مدل‌سازی سخت‌افزار در بالاترین سطوح انتزاع (تا سطح سیستم) را دارد. علارقم اینكه به كمك قابلیت PLI زبان Verilog تا حدودی می‌توان به توصیف سیستمی یك سخت‌افزار پرداخت، اما اصولا بهره‌گیری از HDL ها جهت توصیف یك سیستم ناكارآمد است و برای این منظور زبان‌های كارآمد دیگری مانند SystemC و System Verilogطراحی شده كه به كمك آنها می‌توان سخت‌افزار را از بالاترین سطح انتزاع توصیف نمود. لذا قابلیت‌های توصیف سیستمی VHDL را به سختی می‌توان در زمره برتری‌های آن نسبت به Verilog‌ قلمداد كرد. انواع داده در زبان Verilog نسبت به VHDL ساده تر و استفاده از آنها آسان‌تر می‌باشد، ضمن اینكه این انواع داده در Verilog به مدلسازی ساختاری نزدیك‌تر هستند، در این زبان برخلاف VHDL انواع داده مشخصی تعریف شده است و كاربر نمی‌تواند انواع داده جدید به آن اضافه كند. به دلیل سادگی استفاده از انواع داده‌ها زبان Verilog نسبت به VHDL ارجحیت دارد. برای فردی كه پیش‌زمینه‌ای در مورد زبان‌های برنامه نویسی ندارد، یادگیری زبان Verilog‌ساده‌تر از VHDLاست، چرا كه نوشتن كد‌های VHDL كمی پیچیده تر از Verilog‌می‌باشد و برای تسلط بر خط كد اینVHDL، زمان بیشتری نیاز است، علاوه بر اینكه در زبان‌ VHDL روش‌های متعددی برای مدل كردن یك مدار وجود دارد كه در ساختار‌های بزرگ می‌تواند موجب سردرگمی افراد تازه‌ كار شود.

Verilog‌در برابر VHDL

انتخاب یك زبان توصیف‌ سخت‌افزار :

برای انتخاب یك زبان جهت توصیف مدار دیجیتال، عوامل متعددی را باید علاوه بر برتری‌های ذاتی یك زبان خاص مدنظر قرار داد. برخی از این عوامل عبارتند از:

  • سلیقه شخصی : اصولا چون اكثر مهندسین و طراحان مدارات دیجیتال به زبان C مسلط هستند، زبانVerilog را كه خط كد مشابه C دارند ترجیح می‌دهند، ضمن اینكه خوانایی كد‌های این زبان بهتر از VHDLاست.
  • موجودیت ابزار‌های شبیه‌سازی و سنتز : در حال حاضر ابزار‌های شبیه‌ساز رایگان متعددی برای زبانVerilog وجود دارند كه از میان‌آنها میتوان به كامپایلر Icarus Verilog اشاره كرد، در حالی كه ابزارهای شبیه‌ساز متن‌باز اندكی برای VHDL موجود هستند و اقلب ابزار‌های شبیه‌سازی این زبان، به صورت تجاری به فروش می‌رسند.
  • عوامل تجاری و بازاریابی : بر اساس آمار‌های منتشر شده در سال 1998بازار ابزار‌های شبیه‌سازی زبان Verilog حدود 150 میلیون دلار اعتبار داشت به طوری كه اعتبار این بازار نسبت به سال 1994 دو برابر شده بود، در سال 2003 نیز شركت Synopses یكی از بزرگترین شركت‌های این بازار چند صد میلیون دلاری، اعلام كرد كه تیم توسعه و تحقیقات این شركت تنها بر روی Verilog متمركز شده اند و در ابزار‌های شبیه‌سازی این شركت از VHDL‌پشتیبانی نخواهد شد.

ASIC IC

زبان توصیف سخت‌افزاری Verilog مورد استقبال صنایع بزرگ قرار گرفته است به طوری كه امروزه این زبان به عنوان یك زبان پركاربرد در صنعت طراحی و تولید مدارات دیجیتال شناخته شده است. در مقابل زبانVHDL از سوی جامعه آكادمیك مورد استقبال قرار گرفته و بیشتر در پروژه‌های دانشگاهی از آن بهره گرفته می‌شود.

خودآموز زبان Verilog نگاشته جناب آقای دكتر صفری استادیار دانشگاه تهران در گروه مهندسی كامپیوتر-سخت‌افزار:

توجه :کاربر گرامی شما علاوه بر خرید مستقیم همچنین میتوانید این فایل را با خرید اشتراک ماهانه دانلود نمایید پس مشترک ماهانه ی سایت شوید و تا پایان مدت اشتراک از آپدیت ها و فایلهای جدید موجود در سایت بهره مند گردید.
لیست فایلهای اعضای اشتراکی
نام فایل :
خودآموز زبان Verilog نگاشته جناب آقای دكتر صفری
rar-122 جمعه, 20 مرداد 1396 18:20
حداقل اشتراک محتویات زمان ایجاد حجم فایل تعداد دانلودها
رایگان link_extern-122 PDF جمعه, 08 دی 1391 01:21 708.44 KB 1
تنها کاربران عضو یا دارای مجوز میتوانند دانلود نمایند
توضیحات :
 

افراد شرکت کننده در این گفتگو

نظرات (3)

هنوز نظری ارسال نشده است
این نظر توسط مدیر سایت کمینه شده است

سلام این متنی که براverylog,hdlگذاشتی دانلودنمیشه درستش کن لطفا:p

مهمان
این نظر توسط مدیر سایت کمینه شده است

مرسی خیلی خیلی عالی بود.

مهمان
این نظر توسط مدیر سایت کمینه شده است

با سلام عرض خسته نباشید.
دستتون درد نکنه واقعا مفید بود.

مهمان

  1. بهتر است نام و نظر خود را فارسی تایپ کنید ( برای انتشار سریع نظر یا افزودن فایل پیوست، باید وارد حساب کاربری خود شوید )
0 کاراکتر
پیوست (0 / 3)
انتشار موقعیت
کد تصویری را وارد کنید
پروژه ها
«
  • PIC micro
  • ARM micro
  • FPGA
  • پروژهPLC-wincc
  • کامپیوتر نرم افزار
  • سخت افزار
  • روباتیک
  • میکروهای قدیمی
  • الکترونیک دیجیتال
  • الکترونیک آنالوگ
  • پروژه matlab
  • #C/C++
  • آردوینو
  • esp8266
  • AVR
»
این پروژه توسط یکی از دوستان ارسال شده و یک ساعت در سایز بزرگ با میکرو pic هستش که میتونه دما و ساعت رو نمایش بده و فایل پروتیوس شماتیک و pcb رو هم داره و نکته مثبت مدار pcb سون سگمنت هستش که با استفاده از led طراحی شده و شما میتونید از همین pcb در مدارات دیگه هم استفاده کنید و خیلی جاها به کار میاد.پروژه مشابه همین با AVR که قبلاً تو سایت قرار داده بودم رو هم میتونید نگاه کنید.سون سگمنت کاتد ...
این برد آموزشی arm رو از توی اینترنت گرفتم که تمام قطعاتش smd و pcb اون نیاز به متالیزه داشت از اونجائی که تو بیشتر شهر های ایران متالیزه نمیکنند تمام قطعاتشو تبدیل به DIP کردم و گذاشتم تو سایت چند تا via زیر میکرو هست که میتونید یک سیم افشان لخت کنید یک رشته از اونو از بالا کج کنید و با کمترین مقدار لحیم بالارو با پائین فیبر اتصال بدید من امتحان کردم جواب داد همان گونه که در تصویر میبینید ...
این برنامه با زبان vhdl نوشته شده و موج pwm را بر اساس عدد وارد شده تولید و به خروجی ارسال میکند.در این برنامه عدد 30 به معنای pwm کامل و 0 به معنای بدون موج خروجی میباشد.پروژه بر اساس ise طراحی شده است و برنامه ise را میتوانید از سایت دانلود نمایید.
این پروژه در مورد ژنراتور بادی می باشد به صورتی که ما در plc ان را شبیه سازی نموده و در حالت های زمان خطای شبکه مقدار توان اکتیو و راکتیو را اندازه گیری نموده و مقدار گشتاور را با توجه به شکل های زیر می توان تغییر داد تا سیستم در یک حالت پایداری در زمان خطای شبکه بتواند به کار خود ادامه دهد این پایان نامه کارشناسی ارشد بوده است و نمونه کار در سایت های دیگر ندارد.
زبان اَسمبلی (Assembly language) یک زبان نزدیک به سخت افزار است که در رابطه ی مستیم با دستورات سخت افزار قرار دارد و در واقع هر معماری با یک زبان اسمبلی خاص خودش شناخته میشود.زبان اسمبلی در هر سخت افزار تعداد دستور متفاوت و اپرندهای مختلفی دارد و دیگر زبانهای سطح بالا بر اساس همین زبان طراحی و نوشته میشوند.
این تقسیم کننده دو عدد 16 بیتی را از ورودی گرفته و حاصل تقسیم را بر اساس خارج قسمت و باقیمانده تقسیم در خروجی نمایش میدهد.الگوریتم تقیسم بر اساس شیفت و تفریق میاشد و هنگامی که عدد خروجی کمترین مقدار را دارد یعنی مقدار باقیمانده از مقدار مقسوم علیه کمتر شود یک کلاک به معنای پایان تقسیم در خروجی ایجاد میکند و علاوه بر آن مقدار باقی مانده و خارج قسمت را در خروجی نمایش میدهد. این پروژه با نرم افزار ...
در این پروژه یک بازوی مکانیکی طراحی و با استفاده از سروو موتور به کنترل آن میپردازیم.بازوی مکانیکی را با استفاده از اتوکد طراحی و با برش لیزر تمام قطعات آن را برش میدهیم و بعد با پیچ و مهره به هم اتصال میدهیم.در این پروژه از 4 عدد سروو موتور برای کنترل تمام بازوی مکانیکی استفاده شده است که به راحتی میتوان قطعات مختلف را با آن جابجا نمود.
میکرو کنترلر AT89C52 از شرکت Atmel یک میکزو کنترلر 8 بیتی از خانواده ی 8051 است که با ساختار CMOS طراحی شده و میتواند تا 24 مگا هرتز سرعت داشته باشد.از جمله امکانات این میکرو میتوان به 32 ورودی و خروجی بودن آن و همچنین 3 عدد تایمر کانتر 8 بیتی و 8 عدد وقفه و همچنین رابط سریال در آن اشاره کرد.برای برنامه نویسی این میکرو میتوان از زبان c و کامپایلر محبوب keil بهره برد البته با bascom ...
الکترونیک دانش مطالعهٔ عبور جریان الکتریکی از مواد مختلف - مانند نیمه‌رساناها، مقاومت‌ها، القاگرها و خازن‌ها - و آثار آن است. الکترونیک همچنین به عنوان شاخه‌ای از فیزیک نظری شناخته می‌شود. طراحی و ساخت مدارهای الکترونیکی برای حل مشکلات عملی، قسمتی از مباحث موجود در مهندسی الکترونیک را تشکیل می‌دهد.
پروژه ضبط و پخش کننده صدا دارای واسط گرافیکی و امکانات پیشرفته با نرم افزار متلب را آماده کردیم.(این پروژه کامل و صد درصد تست شده است)   امکانات و قابلیتهای این پروژه: قابلیت کار با سیگنالهای صوتی تک کاناله، 8 و 16 بیتی قابلیت کار با سیگنالهای صوتی با هر فرکانس نمونه برداری در بازه 8 تا 32 کیلوهرتز امکان ضبط کردن، توقف هنگام ضبط و ادامه ضبط با واسط کاربری امکان تنظیم دقت چندیسازی و ...
در فایل زیر برای تمرین به چند سوال برنامه نویسی به زبان C به شرح زیر پاسخ داده ایم:1-برنامه ای بنویسید که 20 عدد بزرگ 40 رقمی را از وردی گرفته و آنها را صعودی مرتب نماید.2-برنامه ای بنویسید که دو عدد را بدون این که عملیات ضرب یا جمع استفاده نماید یک بار ضرب و یک بار تقسیم نمیاد.3-برنامه ای بنویسید که 20 عدد بزرگ 40 رقمی را از وردی گرفته و آنها را جمع و میانگین بگیرد.4-برنامه ای بنویسید که عددی 60 ...
سنسور DS18B20 به دلیل استفاده از یک سیم برای ارسال دیتا بسیار کاربردی و قابل استفاده در کاربردهای صنعتی است.درجه اطمینان این سنسور نسبت به سنسورهای تک سیمه دیگر بالاست لذا به کار بردن این سنسور در پروژه ای صنعتی توصیه میگردد.سنسور دماسنج DS18B20 از 9 تا 12 بیت محدوده کاری دارد و از نظر سنجش دما -55 درجه سانتی گراد و +125 درجه سانتی گراد می‌باشد. از ویژگی‌های بارز سنسور DS18B20 ارسال اطلاعات ...
ماژول ESP8266 یک ماژول قدرتمند و همه کاره در زمینه ی IOT است که در سالهای اخیر کاربردهای گسترده ای در اینترنت اشیا پیدا کرده و تنها نقطه ضعف این ماژول مصرف بالای ماژول در حدود 100 میلی آمپر و امکانات کم ماژول در حالت اسلیپ است که احتمالا در ورژنهای بعدی توسط سازنده مورد توجه قرار خواهد گرفت.در این پروژه سعی کرده ایم تا یک ماژول کاربردی شبیه به نود ام سیو NodeMCU  طراحی کنیم و با اتصال ...
این دستگاه یک ریموت کنترلر 4 کاناله است، به این معنی که قادر به کنترل ۴ وسیله برقی از راه دور با استفاده از فرستنده ها و گیرنده های رادیویی می باشد.در این پروژه از ماژولهای گیرنده ی ask استفاده شده است که ماژولهایی برای دریافت و دیکد دیتاهای ارسال شده بر اساس مدولاسیون دامنه میباشند.مدولاتور های دامنه هم ازران هستند و هم کم مصرف ولی عیب اصلی آنها نویز شدید روی موج ارسالی است که عملا در فرکانسهای ...
آموزش
«
  • میکروها
  • کامپیوتر سخت افزار
  • کاربردی
  • مکاترونیک
  • الکترونیک دیجیتال
  • الکترونیک آنالوگ
  • برق صنعتی
  • طراحی وب
  • کامپیوتر نرم افزار
  • آموزشmatlab
  • VHDL,VERILOG
  • FPGA
  • #C/C++
  • نرم افزارهای برق
  • اندروید
  • اینترنت اشیاء (IOT)
»
MPLAB یک آی دی ای (IDE) منتشر شده از شرکت میکرو چیپ برای توسعه برنامه بر روی چیپ های PIC میباشد که هم اکنون آخرین ورژن آن با نام MPLAB X منتشر شده است. اگر خود برنامه ی MPLAB X IDE رو نصب کنید فقط می توانید به زبان اسمبلی در این برنامه کد نویسی کنید برای اینکه بتونید به زبان C کد بنویسید باید MPLAB XC8 Compiler رو هم روی سیستم نصب داشته باشید. برای نصب MPLAB x شما باید جاوا را در سیستم نصب داشته ...
برای ثبت اختراع به کجا مراجعه کنیم؟ برای ثبت اختراع باید به اداره کل ثبت شرکت‌ها و مالکیت صنعتی واقع در تهران، خیابان میرداماد، تقاطع بزرگراه مدرس- میرداماد، مراجعه شود .
این پروژه ی تحت عنوان (( ربات مسیر یاب هفت سنسور )) که در سه فصل تهیه شده است ، فصل اول مستندات این پروژه به بررسی تعاریف خاصی که در زمینه رباتیک وجود دارد و همچنین موضوعات مرتبط با آن مانند تاریخچه رباتیک ، انواع رباتها ، دسته بندی رباتها  پرداخته ایم. در فصل دوم کاربرد رباتها و همچنین اجزاء رباتها را مورد بررسی قرار داده ایم. در فصل سوم ، ساختن ربات مسیر یاب ، شرح عملکرد ربات ، توضیحات ...
پس از سال ۲۰۰۰ که با فراگیر شدن اینترنت جهانی و مفهوم دهکده جهانی واژه اینترنت چیزها نیز مطرح شد که هدفش ایجاد یک سیستم یک پارچه با قابلیت کنترل از طریق شبکه بود.اما چالشهای اصلی این ایده شامل امنیت‌ و پیچیدگی سطوح اجرای و بستر نامناسب (اینترنت بستر قابل اطمینانی از نظر اتصال پایدار نیست) و همچنین نبود یک سخت افزار قدرتمند و ارزان با ارتباطی دو طرفه و پایدار با مصرف انرژی پایین که از اهداف اصلی ...
ریموت کنترل ها که به منظور کنترل از راه دور تجهیزات الکترونیکی استفاده می شوند، در بازار به صورت بصورت فیکس کد و لرن کد می باشند که در هر دو نوع این ریموت ها یک دیتای چهاربیتی به طریق کد دهی ارسال می شود. در اینجا به بررسی ویژگی ها و تفاوت های فیکس کد و لرن کد و اینکه کدام بهتر می باشد، می پردازیم.
فایرفاکس مرورگری کدباز، رایگان و انعطاف پذیر برای تمام کاربرانی است که می خواهند ضمن داشتن امنیت در اینترنت، از وب گردی لذت ببرند. معمولاً فایرفاکس انتخاب اول کاربران حرفه ای و البته برنامه نویسان و توسعه دهندگان وب است.
پایتون (Python) یک زبان برنامه نویسی تفسیری ، داینامیک و شی گرا است که می توان از آن در نرم افزار ها و تکنولوژی های متنوع بهره برد . این زبان برنامه نویسی (در ایران پیتون هم تلفظ می شود) با داشتن کتابخانه های گسترده (library)روشهای بسیار قدرتمند و حرفه ای را برای کار با زبانها و ابزار های مختلف به آسانی فراهم می کند. متن باز (اوپن سورس) بوده و یادگیری و استفاده از آن در عرض چند روز ممکن است . کد ...
شاید در نگاه اول یادگیری و کار با شبکه های عصبی رو سخت و زمان بر بدونید. البته منابع و پروژه های اندکی،به زبان فارسی در این رابطه وجود دارد و این خود یادگیری رو یه مقدار دشوار میکنه. در این فیلم آموزشی میخوام در قالب مثالی شما رو با جعبه ابزار شبکه عصبی و کار با شبکه عصبی در نرم افزار متلب آشنا کنم. قطعا اگر با چند مثال آشنا شوید و مراحل کار رو یاد بگیرید درمدت کوتاهی میتونید با شبکه ...
این خودآموز به زبان فارسی نگاشته شده و برای آشنایی مقدماتی با نحو زبان Verilog و توانایی‌های آن مفید است، مخاطب این خودآموز آن دسته از علاقه‌مندانی می‌باشند كه با زبان Verilog‌ آشنایی ندارند.زبان‌های توصیف سخت‌افزار یكی از مهمترین ابزار‌های مدرن طراحی سخت‌افزار‌ها می‌باشد كه كاربرد آنها در صنعت رو به افزایش ‌است به طوری كه امروزه عضو جدا نشدنی فرایند طراحی تا پیاده سازی سخت‌افزار‌های مدرن به شمار ...
نرم افزار ISE Design Suite محصولی از کمپانی Xilinx است که برای بهینه سازی نیرو و هزینه، از طریق بهره وری طراحی بیشتر، تولید شده است است. در واقع ابزارهای طراحی ISE با ارائه ی تکنولوژی هوشمند clock-gating، برای اولین بار، مصرف نیرو را تا سی درصد کاهش داده اند. همچنین این مجموعه نرم افزاری جدید پیشرفت هایی را در رزرو طراحی timing-driven، پشتیبانی برای طراحی plug-and-play و یک جریان طراحی بصری با ...
همان طور که می دانید توسط سوکت ها ما می توانیم میان برنامه ها و نرم افزارهای خود از راه دور ارتباط برقرار کنیم که البته این کار بین کامپیوترهای client و server انجام می شود.کلاینت در حقیقت، خدمات کامپیوتر بزرگتر را درخواست می کند. فرضا این خدمات می تواند شامل جست و جوی اطلاعات و ارسال انها به کلاینت باشد مانند هنگامی که یک پایگاه داده در وب مورد پرس و جو قرار می گیرد. نمونه های دیگری از این ...
سیستمهای کنترلی دستگاههای قدیمی علاوه بر استهلاک بالا و خرابیهای مکرر، راندمان کاری دستگاه را کاهش و زمان توقف و بلا استفاده بودن دستگاه را افزایش میدهند. با تبدیل این سیستمهای قدیمی به کنترلرهای مدرن و جدید علاوه بر اینکه زمان خواب دستگاه و خرابی های مکرر کاهش می یابد، باعث عیب یابی بسیار آسان، هزینه تعمیرات و نگهداری کمتر و افزایش راندمان تولید میشود. از دیگر مزایای این سیستمها ثبت زمان کارکرد ...
رنگ های مختلفی که در طراحی لایه ها استفاده می شود  را می توان از ترکیب RGB یا همان Red Green Blue ایجاد کرد.برای هر رنگ 255 قطره داریم و قصد داریم رنگها را در شکل hex نمایش دهیم. همونطور که میدونید اعداد در مبنای هگزادسیمال به صورت زیر نمایش داده می شوند : 
هر روزه وسایل جدیدی، به اینترنت متصل می‌شوند. ساعت‌های هوشمند نمونه‌ای از این وسایل محسوب می‌شوند. خودروها، سیستم روشنایی خانه‌ها، یخچال‌ها، چراغ‌های راهنمایی و رانندگی، همگی وسایلی هستند که به عنوان یک واحد مستقل به اینترنت افزوده می‌شوند. امروزه کامپیوترها، دیگر الزاماً به آن شکل سنتی که ما می‌شناسیم (صفحه کلید و کیس و مانیتور)‌ نیستند. بلکه در قالب قطعات کوچک، میکروکنترلرها، تراشه‌های ...
مقالات
«
  • کامپیوتر
  • برق-الکترونیک
  • طرح توجیهی
  • گزارش کار آموزی
  • برق قدرت
»
سیستم محاسباتی گرید از گروهی از برنامه ها و منابع که در سراسر ماشینهای گرید گسترده شده اند تشکیل میشود. گرید دارای محیطی پویا و منابع توزیعشده غیرمتمرکز است. یکی از مهمترین موضوعات درزمینهی اجرای کارها در محیط توزیع شده مسئله زمانبندی کارها میباشد، هدف از زمانبندی، واگذاری بهینه و مناسب کارها به وظایف است. زمانبندی جز مسائل NP-Complete میباشد و الگوریتمهای قطعی برای حل اینگونه مسائل مناسب نیستند ...
امــروزه بحران مصرف برق شايد مسئله اي مشكل سـاز براي آينده كشورمـان باشد ، با كاهش و صـرفه جويي در مصـرف برق شايد بتوان نيمي از اين مشكل را حل نمود ، اما با كمي تدبير مي توان كمك بزرگي به آينده و اقتصاد نمود . ساخت دستگاه آنالايزر (VCA005) تنها گامي در بهينه سازي مصرف انرژي مي باشد ، اين دستگاه با آناليز كامل از مصـرف انرژي نموداري بصورت ماكزيمم و مينيمم مصرف در اختيار كاربر قرار مي دهـد ، ...
در این گزارش کارآموزی به مراحل مختلف انجام شده روی شیر خام برای تولید انواع فرآوردهای آن پرداخته شده،همچنین انواع دستگاهای مختلف کارخانه شیر و قسمتهای مختلف این دستگاها(میزان تولید، ظرفیت و...) ذکر شده است. در یک کارخانه شیر انواع و اقسام سنسور ها برای کنترل مراحل مختلف تولید به کار برده میشود. در بخش بعدی این گزارش مهمترین سنسور های به کار رفته در چرخه تولید کارخانه شیر و عملکرد آنها را شرح داده ...
پایان نامه ی پیش رو که در 242 صفحه جمع آوری شده است کاملترین پایان نامه موجود در زمینه ی بررسی تلفات در بخش توزیع و روش های کاهش آن میباشد. شبكه قدرت شامل سه بخش توليد ،انتقال و توزيع نيرو است . انرژي الكتريكي پس از توليد در نيروگاهها و عبور از شبكه هاي انتقال و توزيع به مصرف كنندگان مي رسد در اين مسير مقداري از انرژي بدلايل مختلف تلف مي شود قدمت بحث تلفات در بخش توزیع به اندازه قدمت صنعت برق مي ...